2016-11-29 51 views

回答

0

如果要读取用户或文件的输入,请使用Verilog编程语言接口(PLI)将Verilog连接到C或C++语言;在那里你可以使用像read和fgetc这样的系统调用来从文件或用户获取数据。

查看您的verilog模拟器手册,或者使用ModelSim PLI进行网络搜索,以获得使用Verilog PLI的帮助。