2016-03-08 73 views
2

寻找如何禁用uvm_object_utils宏中发生的uvm_warning的想法。禁用零时间UVM警告:TPRGED

UVM_WARNING @0: reporter [TPRGED] Type name 'xxx_packet' already registered with factory. No string-based lookup support for multiple types with the same type name. 

我不在乎基于字符串的查找,我想能够使用多个测试相同的类名(我使用的每个测试包,使这项工作)。所以我真的想禁用这个警告。但是,即使命令行禁用似乎也没有帮助。

我想:

+uvm_set_action=*,TPRGED,UVM_WARNING,UVM_NO_ACTION 

这适用于其他的警告,但在这种情况下,警告似乎被处理的命令行参数,甚至之前发生。

回答

2

这些消息来自静态初始化,您将无法关闭它们。

如果您不想在工厂注册字符串名称,请使用`uvm_object_param_utils宏而不是`uvm_object_utils唯一的区别是不会向工厂注册字符串。有关更多信息,请参阅http://go.mentor.com/mcem

+1

祝福你戴夫。我希望我早点问。我几个月来一直在烦恼和无用的倾向于这些警告。我尝试了所有我能想到的方法来让我的电话在'sim_set_port_id_action'中发生。 –

+0

不客气。请标记接受的答案。 –