2011-04-24 122 views
1

我在试图模拟一个测试台。我没有收到波形,在提示符下我收到以下警告消息。是否因为=是警告,我的代码没有模拟?提示时出现警告消息

** Warning: (vsim-WLF-5000) WLF file currently in use: vsim.wlf 
#   File in use by: Hostname: ProcessID: 0 
#   Attempting to use alternate WLF file "./wlftazxa4k". 
# ** Warning: (vsim-WLF-5001) Could not open WLF file: vsim.wlf 
#   Using alternate file: ./wlftazxa4k 
run 

我也includng我的测试平台如下:

module dec_tb; 
    reg [63:0] FROM_IF_ID; 
    reg CLK; 
    wire [117:0] TO_ID_HMIC; 
    integer k=0; 
    inst_decode id(.from_if_id(FROM_IF_ID),.clk(CLK),.to_id_hmic(TO_ID_HMIC)); 

    initial 
    begin 
     $monitor($time,"clk=%b, fifid=%b, tidhm=%b",CLK,FROM_IF_ID,TO_ID_HMIC); 
     $display("qf"); 
     CLK= 0; 
     FROM_IF_ID[35:32]=4'b1100; 
     FROM_IF_ID[63:36]=28'b0000_10000_00100_01000_00010_0001; 
    end 

    always 
    begin 
    #10 CLK= ~CLK; 
    end 
    always @(posedge CLK) 
     begin 
     $display (" TO_ID_HMIC= %b", TO_ID_HMIC); 
     FROM_IF_ID[k] =~FROM_IF_ID[k]; 
     k=k+1; 
     #500 $finish; 
     end 
    endmodule 

回答

3

如果这是唯一的消息,则模拟运行,你可以在指定的备用文件(wlftazxa4k)浪。

如果你想这样的波vsim.wlf显示来解决这个问题,这里有一些事情要尝试:

  • 确保你没有运行
  • 任何杂散的ModelSim过程确保你没有vsim.wlf开放的波形观众
  • 手动删除vsim.wlf并重新运行
+0

删除vsim.wlf手动解决了这个问题。 – Ehsan 2016-11-21 18:58:49

0

我也有这个问题。事实证明,我的vsim文件所在的目录已满(学校分区,允许的空间为600mb)。在清理了一些我曾经躺过的旧文件后,程序运行良好。

0

你对你的测验:

  1. 运行你的模拟
  2. 与GUI或命令退出你的模拟:quit -sim
  3. 转到步骤1和乐趣。

您可以删除由modelsim创建的缓存文件。