我有如下的SV属性:SVA:是否有可能从后面禁用SV属性检查?
propert my_property;
@(posedge clk) disable iff(reset) (!s_of) throughout ($rose(halt) ##0 ((rx_prio) > (expec_prio)) ##[0:$] $rose(rdy)) |-> ##[1:100] (my_prio[rx_prio]==1'b1);
endproperty:my_property
我有断言如下:
MY_PROPERTY_CHECK:assert property (my_property)
else
$error;
在上述属性,我有信号s_of其不应在整个检查被断言。但是这里的情况是,这个信号s_of在隐含运算符的结果期间得到确认。因此,我的要求是禁用检查,即使在后续声明中断言了信号s_of。有没有办法做到这一点?
谢谢。
通过禁用检查来定义您的意思。禁用执行,以便能够启动一个新的或只有一个时钟周期禁用它? –