我想在OSX Yosemite(10.10.3)上为Systemverilog运行一些测试台。我所知道的唯一可以使用的免费模拟器是Verilator,它不能处理测试台,而Icarus显然可以处理“非常少”的SystemVerilog。有没有我可以使用在Mac上运行SystemVerilog测试平台?有没有办法在osx上自由运行systemverilog testbenches
0
A
回答
0
你可以用Windows模拟器。
“空闲”模拟器都不支持受限的随机生成,断言或测试平台的功能覆盖。
0
如果你很乐意去网页,EDA Playground有教育版本的Riviera-PRO可用,它具有完整的SystemVerilog支持。
由Altera toolchain提供的Modelsim的“免费”版本有限,但可以运行UVM,但需要注意一些注意事项。从老EDA Playground docs:
UVM可以采用ModelSim 10.1d,只要下面的编码风格的调整,随后可以使用:
当创建一个uvm_sequence,把在构造函数如下:
do_not_randomize = 1'b1
;class my_sequence extends uvm_sequence #(my_transaction); function new(); // MUST BE SET when using ModelSim do_not_randomize = 1'b1; endfunction
相关问题
- 1. 有没有办法在所有Heroku dynos上运行命令?
- 2. 有没有办法在运行时在mac osx中获取npapi插件路径?
- 3. 有没有办法在Objective-C中自动运行代码?
- 4. 有没有办法检查脚本是否由PowerShell ISE运行?
- 5. 有没有办法通过编程在OSX上静音?
- 6. 有没有办法在OSX上安装Julia-lang 0.4.2版本?
- 7. 有没有办法让vi和vim在OSX上表现不同?
- 8. 有没有办法使用“SHC”在OSX上编译shell脚本?
- 9. 有没有办法运行NPM安装
- 10. 有没有办法脱机运行Trac?
- 11. 没有行限制自由办公室
- 12. 有没有办法在Bada上运行Python?
- 13. 有没有办法在iOS上运行脚本?
- 14. 有没有办法在服务器上运行Lisp-in-box?
- 15. 有没有办法在64位Oracle客户端上运行TOAD?
- 16. 有没有办法在Raspberry Pi上运行Angular应用程序?
- 17. 有没有办法在两个窗口上运行Modal?
- 18. 有没有办法在Windows上运行LibreSSL?
- 19. 有没有办法在android上运行windows mobile应用程序?
- 20. 有没有办法在XWindows上运行Android?
- 21. 有没有办法在咖啡桌上运行柏拉图
- 22. 有没有办法在AppEngine上运行OpenBravo?
- 23. 有没有办法在Windows上运行“createrepo”命令?
- 24. 有没有办法在SQLite数据库上运行SQL Reports?
- 25. 有没有办法在mac上运行opencl内核代码
- 26. 有没有办法在单个表上运行rake db:setup?
- 27. 有没有办法调试在`multiprocessing.Process`上运行的Python代码?
- 28. 有没有办法在远程主机上运行Selenium测试?
- 29. 有没有办法自动分组行?
- 30. 有没有办法自行下载Xcode?