2017-04-05 32 views
1

我知道Django是请求/响应周期和Django的渠道是不同的,我的问题是不是有关。uWSGI相当于Django的通道

我们知道,uWSGI/gunicorn创建工作进程,并且可以配置在执行线程每个请求。因此,它可以在10个线程的单个uWSGI工作进程中“同时”(非并行)处理10个请求。

现在让我们假设每个Web客户端都希望使用Django Channels创建websocket,从我的有限理解(使用vanilla实现)开始,它将在单个线程中处理每条消息,这意味着要处理x个连接数量同时,您需要x个通道工作进程。我知道有人会建议增加流程的数量,我不是在这里辩论这个。

我的问题是根本就没有,做类似的工作,与在线程执行的消费功能uWSGI/gunicorn任何现有的库?

回答