2013-02-26 50 views
0

两者之间有什么明显的差异,使得在某些情况下使用者比其他人更实用?有人可以概述这里的差异以及两者的相关性能信息吗?C++中的位向量和位集之间有什么区别?

+1

你是什么意思的位矢量('std :: vector '也许?) – 2013-02-26 04:45:44

回答

0

“位向量”std::vector<bool>是堆中的动态大小的数组,它满足Container类的大部分要求。为此,它定义了一个时髦的iterator类,它在棘手的代理对象设计模式之后返回reference对象。

A std::bitset是一个静态大小的数组,其存储与对象内联。它没有迭代器,只有访问操作符如下标。

按位访问部分将执行类似操作。 vector也会在创建和销毁时调用operator newoperator delete,并在必要时偶尔重新分配。

相关问题