2016-01-16 29 views
0

我有一个数组,像Verilog:如何将值分配给大数组中的2个随机索引?

genvar p; 
    generate 
     for (p = 0 ; p < 128 ; p = p + 1) 
      begin 
       assign fft_bin_th1[p] = (array_x[p] > threshold_1)? 1'b1 : 1'b0; 

      end 
    endgenerate 

数组fft_bin_th1包含4成的人在此声明后随机位置。做了一些操作后,我得到了2个索引值,可以说23和42(它们是随机的)。这些在fft_bin_th1数组中是1。 我想为这两个索引(23和42)赋值零,其余数组fft_bin_th1应该相同。我怎样才能做到这一点?

+0

相反assign'的',你可以组合'always'块添加额外的逻辑驱动'fft_bin。 ..'。 – sharvil111

回答

1

从我能理解你正在寻找一个类似的代码

[email protected](posedge clk) 
begin 
    index_1 <= logic_to_get_index1; 
    index_2 <= logic_to_get_index2; 
    fft_bin_th1[index_1] <= 0; 
    fft_bin_th1[index_2] <= 0; 
end 

`

相关问题