2015-02-08 51 views
-1

这是一个后续问题发布到2月5日..我不知道如何跟进该线程,因此这个新的问题。 我已更新测试台。它包含$ fwrite等建议。 现在得到警告“file/Multi-channel descriptor (2) passed to $fclose in not valid”。 AA2.txt文件是空的。使用$ fwrite(而不是$ fmonitor),它可以工作,但有相同的警告。我应该忽略这个警告吗?我还尝试使用基于DUT输出信号(negedge ASM_FLAG)状态的“复位”(SEE CODE),该信号在仿真结束时从1变为0,以停止写入文件,但复位始终为1,因此无输出。似乎仿真还没有开始......你能解释一下吗?

``timescale 1ns/1ps 

//////////////////////////////////////////////////////////////////////////////// 
// Company: 
// Engineer: 
// 
// Create Date: 16:57:34 12/04/2014 
// Design Name: ADC_SAMPLE 
// Module Name: C:/Xilinx131/SOC/SOC501V2/ADC_SAMPLE_tb.v 
// Project Name: SOC501V2 
// Target Device: 
// Tool versions: 
// Description: 
// 
// Verilog Test Fixture created by ISE for module: ADC_SAMPLE for review with Honeywell 
// 
// Dependencies: 
// 
// Revision: 
// Revision 0.01 - File Created 
// Additional Comments: 
// 
//////////////////////////////////////////////////////////////////////////////// 

module ADC_SAMPLE_tb; 

    // Inputs 
    reg CLK; 
    reg ASM_SEL; 
    reg [11:0] ADC_BUS; 
    reg [7:0] ADC_Wait_Time; 

    // Outputs 
    wire [7:0] ASM_HB; 
    wire [7:0] ASM_LB; 
    wire AS_SConv; 
    wire AS_OE; 
    wire ASM_FLAG; 
    wire [3:0] S; 

    parameter PERIOD = 100; 
    parameter real DUTY_CYCLE = 0.5; 
    parameter OFFSET = 0; 


    // Instantiate the Unit Under Test (UUT) 
    ADC_SAMPLE uut (
     .CLK(CLK), 
     .ASM_SEL(ASM_SEL), 
     .ADC_BUS(ADC_BUS), 
     .ADC_Wait_Time(ADC_Wait_Time), 
     .ASM_HB(ASM_HB), 
     .ASM_LB(ASM_LB), 
     .AS_SConv(AS_SConv), 
     .AS_OE(AS_OE), 
     .ASM_FLAG(ASM_FLAG), 
     .S(S) 
    ); 

    initial begin 
     // Initialize Inputs 
     CLK = 0; 
     ASM_SEL = 1; 
     ADC_BUS = 12'hABC; 
     ADC_Wait_Time = 4; 
    end 

    initial  
    begin 
     #OFFSET; 
     forever 
     begin 
      CLK = 1'b1; 
      #(PERIOD-(PERIOD*DUTY_CYCLE)) CLK = 1'b0; 
      #(PERIOD*DUTY_CYCLE); 
     end 
    end 


    initial begin 
     // Wait 100 ns for global reset to finish 
     // Add stimulus here 
     #200 ASM_SEL=1; 
     #150 ASM_SEL=0; 

    end 


    integer h1; 
    reg reset; 

    initial begin 
    reset = 0; 
    @(negedge ASM_FLAG) reset = 1;//at completion of sim, ASM_FLAG goes 0; 
    end 

    initial begin 
    $display("ADC_SAMPLE_tb simulator output"); 
    $display ("h1,CLK, ASM_SEL,ASM_HB,ASM_LB,AS_SConv, AS_OE, ASM_FLAG,S"); 
    end 

    initial begin 
    h1 = $fopen("AA2.txt");//did not work as a seperate init/begin block.. 
    end 



    always @ (posedge CLK) 
    begin 
    repeat (10) 
// while (reset == 0) 
    begin 
    $fwrite(h1,"%d,%b,%b,%b,%h,%h,%b,%b,%b,%h,\n", 
       h1,reset,CLK, ASM_SEL,/* ADC_BUS,ADC_Wait_Time,*/ASM_HB,ASM_LB, 
       AS_SConv, AS_OE, ASM_FLAG, 
       S); 
    end 
    $fclose (h1); 

    end 
endmodule 

`  

回答

0

您一直关闭always块中每个CLK posedge上的文件。不要这样做。在你的情况下,甚至不需要拨打$fclose,因为当模拟终止时它将被关闭。

+0

fixed $ fclose。谢谢。现在问题是模拟持续时间。有没有一个编译器指令来指定从测试平台内的仿真持续时间?我需要毫秒。目前我需要重新运行Xilinx ISIM .. – 2015-02-09 14:22:55

+0

@BhalTulpule:既然这解决了你的问题,请接受这个答案。 – toolic 2015-02-09 15:15:06

+0

@BhalTulpule:没有用于停止模拟的编译器指令。一个常见的方法是在'initial'块中使用'$ finish'。你也可以使用'$ value $ plusargs'来控制结束时间。 – toolic 2015-02-09 15:16:32