2015-07-03 33 views
-1

我正在为数字设计课程开发一个Verilog项目,我的项目是创建一个计算器,其中包含16b有符号输入的补码并以十进制形式显示在计算器中在模拟器中监视。以小数形式显示补码

这是我的测试台显示器:

$monitor ("opCode(%b),in1(%d),in2(%d),result(%d),overflow(%b)", opCode, in1, in2, result, overflow); 

我不能让模拟器显示我输入的负十进制表示的补充。这只是模拟器不会显示的东西,还是$monitor的问题?所有其他结果正确显示为十进制。

结果显示如下面的输出。它显示补充结果显示为65526,应该是-10。上面和下面的结果分别正确显示15和11。

opCode(0111),in1(10),in2(5),result(15),overflow(0) 
opCode(1000),in1(10),in2(5),result(65526),overflow(1) 
opCode(1001),in1(10),in2(5),result(11),overflow(0) 

回答