cadence

    0热度

    2回答

    在Cadence SKILL(专有EDA语言,基于LISP & SCHEME)中,可以在过程中定义参数类型。 如果给出错误类型的参数,它将会出错。请参阅下面的shell报告: procedure(foo(ko "t") printf("Hey %s\n" ko)) >foo >foo("1") >Hey 1 >t foo(1) >*Error* foo: argument #1 sho

    0热度

    1回答

    我使用Cadence的linting工具HAL。现在我必须使用Questasim。但我不知道我可以使用的工具或开关。 是否有任何工具从导师(Questaism)等HAL来自Cadence(HAL仅用于起绒单独的工具)。 OR 有任何切换,我不得不使用,使Questasim与任何这些的掉毛 “视频博客| vopt的|与Vsim | Qverilog”。

    -1热度

    1回答

    我必须使用Cadence程序套件来完成Verilog类的分配,并且我想知道为什么我创建的非常简单的模拟测试台中的$display语句没有在SimVision控制台窗口中产生输出。 我的工作流程是这样的: 我已经创建了以下功能视图中的单元格: module tesbench (); initial begin $display("RUNNING TESTBENCH");

    0热度

    1回答

    我有一个很大的混合信号设计,有363个引脚。 Layout XL知道引脚的位置(当我拖动它时,绿线将每个引脚连接到正确的位置)。 我的问题是:我怎样才能避免花一周时间做这么繁琐的活动,并使引脚放置自动?我一直都是手动完成的,但这次设计太大了。必须有一种方法来运行脚本,或从某个菜单发出命令,以节省时间和精力。

    1热度

    1回答

    现在我正在尝试使用节奏ncelab的快照功能。 我发现了一些关于SNAPSHOT的特殊功能,所以我尝试了这么多。但我无法使用SNAPSHOT。 那么你可以告诉我SNAPSHOT的节奏ncelab的用法吗? 我的verilog代码就像下面这样。 module top(); reg a; reg b; wire c; assign c = a+b; endmodule 谢谢先进。

    0热度

    2回答

    任何人都可以请解释如何考虑考虑mux时的时序分析。如果我们考虑时序,它通常在两个触发器之间,如图所示,如果我们考虑复用器选择引脚? 就我的理解而言,它是一个选择引脚,因此不能用作时序分析的路径。如果我错了,请纠正我。 There is a circuit with 4 flops and one more for select pin which is connected to output fl

    0热度

    1回答

    我与某人合作开发SystemVerilog项目。但是,我习惯使用Synopsys VCS SystemVerilog模拟器,他习惯于使用Cadence INCISIVE irun。 一个测试台模块使用随机数生成顶层设计模块的测试输入模式。因此,我设计一类用于产生随机数: class RandData; rand logic [3:0] randIn; function new(

    0热度

    1回答

    我试图模拟模拟&数字仿真(Cadence的Virtuoso全版本6) 我做出Verilog代码简单的计数器,我成功检查数字仿真。 但是当我尝试使用混合信号模拟(仅使用2个用于模拟部分的Clk //复位到数字计数器的反相器链)时,我发现数字输出仅在1ns(1ns,2ns,3ns,4ns)的多个时间内发生变化 即使我让Clk期间100ps,柜台变化只有1ns,2ns,3ns。 (在Verilog仿真中

    0热度

    1回答

    我有一个pdf函数,它是一个指数函数除以定积分。我有两个问题: 1)如何在HSPICE中定义我自己的PDF? (我发现HSPICE具有高斯分布,但这不是我正在寻找的) 2)如何解决HSPICE中的定积分? 我可以用Verilog-A中的模型解决这两个问题吗? 在此先感谢!

    0热度

    1回答

    -(void)peripheral:(CBPeripheral *)peripheral didUpdateValueForCharacteristic:(CBCharacteristic *)characteristic error:(NSError *)error { dispatch_async(dispatch_get_main_queue(), ^{ NSDat