2011-10-24 47 views
0

我有我的VHDL代码中的2D矩阵类型,所有元素都是“真实”类型。如何在VHDL中将实际数据类型写入文件?

事情是这样的:

type type1 is array (1 to 50,1 to 50) of real; 

现在我想这整个矩阵写入一个文本file.Each行应该用逗号我怎样才能做到这一点分开行写?

我看着textio软件包,但不知道如何将它们用于真正的数据类型。

这是否有任何自定义软件包?

回答

1

开始使用TEXTIO

use textio.all; 

几个有用的变量:

variable s : line; 
    file output : text; 

主要的代码是这样的:

for y in image1'range(2) loop 
     for x in image1'range(1) loop 
     write(s,real'image(image1(x,y)); 
     end loop; 
     writeline(output,s); 
    end loop; 

我将离开你加入您的逗号分隔符以及您需要的任何页眉/页脚。

+0

非常感谢..你的想法一如既往地像魅力一样工作.. :) – vipin

1

您是否检查real'image(value)以获取真实值的字符串表示?

+0

假设 signal image1:type1; 那么你可以给我看一个简单的例子,使用上述属性? – vipin

+0

查看本文[VHDL textio](http://www.gmvhdl.com/textio.htm)教程。 – mouviciel