2012-05-14 54 views
2

在verilog中是否有特殊的开始和结束关键字,可以让仿真继续运行,直到遇到结束关键字?我知道这不会是可综合的;不过,我只希望将其用于测试目的。另外verilog中的$ finish关键字退出了modelsim。Verilog连续仿真

+2

'$ finish'是如何完成的。 –

回答

5

$finish$stop是停止模拟的verilog系统任务。 $finish终止模拟,而$stop停止模拟并进入交互模式。所以你可以使用$stop。即使$finish应该没问题,因为ModelSim通常会询问您是否要完成。选择'否'保持模拟模式,选择'是'将退出ModelSim。