2013-03-29 49 views
0

确定我正在使用的Spartan 3A试剂盒和我需要知道与我的FPGA与我的电脑进行通信的最简单和最安全的选择,这意味着将数据发送到连接器的输入引脚,并从输出的管脚接收连接器的针脚 现在这个连接器或接口,我不能选择,我知道的是,我有我的fpga这个接口: RS232 以太网 USB(仅用于proggramming,我认为) 差分对端口(???) ADC/DAC端口 PS /键盘和鼠标端口与PC FPGA通信

不,我需要一个合适的接口,以便我可以在PC上创建一个程序(与java可能)并能够发送数据输入到我的fpga实现。

IT必须能够支持至少7兆字节/秒,所以RS232不会工作。

如有任何帮助,如果可能的话,并就如何接口会在PC上完成,FPGA是非常感谢

+1

@Desolator:否,逻辑设计是在物理层和SW编程之间的中间。计算机设计将计算机科学与硅/电子学联系起来。所以,它和电子设备一样适用于SO。逻辑设计问题的适当地方是http://area51.stackexchange.com/proposals/45270/logic-design。但它被禁止。所以,请不要说奥马尔错了。 – Val

+0

我建议你看看通过以太网使用UDP。在100Mbit接口上,您需要的数据速率应该可以实现。这将为您在PC端提供一个非常简单且支持良好的界面。在FPGA方面,可以使用实现以太网接口的内核和/或演示。 – Josh

回答

1

这些小提示,只有以太网(一)普通PC主机及(b)快足够你的目的。这使它成为一个简单的选择。使用以太网接口查看Xilinx网站的演示项目。