我很困惑与用于与工厂登记UVM测试以下SystemVerilog的构造:的SystemVerilog:注册UVM测试与工厂
class random_test extends uvm_test;
`uvm_component_utils(random_test);
...
function new (...
在这里,我们有一流的random_test的定义,以及内部定义我们调用一个方法,而它的参数是正在定义的类。 因此,这里是我的问题:
- 是
`uvm_component_utils
在0时被调用的任何对象,构建了random_test类偶数过吗? - 如何在类定义中将类传递给
`uvm_component_utils
?
谢谢。