2011-08-21 28 views
0

我工作的赛灵思Spartan 3E平台,使用这个开发板提供输入数据到FPGA。我希望从外部传输输入信号。输入数据是一个8位信号流。使用USB

那么,我该如何通过USB发送输入信号从我的笔记本电脑到FPGA?赛灵思是否支持这项功能,或者是否有标准软件来执行此操作?

谢谢。

+2

什么是你的带宽需求?原生USB并不是在任何一端实现的最简单(Fpga或Pc)。您是否使用赛灵思帕坦3E开发板或其他标准的开发板,可能可以使用,而不是现有的接口? – davidd

+0

我使用Spartan 3E入门套件,内置USB接口,用于使用xilinx IDE对其进行编程。 –

+0

带宽需求是可变的。我有8位数字信号,大多数应用的频率大约为100赫兹。 –

回答

2

这听起来像你所描述的比原来的USB接口多UART。你可以得到一个USB逻辑电平的串行接口,可以让你轻松地以高达921.6K波特传输数据和从PC。一个UART /串口很容易在FPGA中实现和PC很容易与串行端口使用。

以下是电缆: http://www.ftdichip.com/Products/Cables/USBTTLSerial.htm 如果您有开发卡,则很可能存在此类型的接口。

在软件方面,您可以使用您选择的编程语言,就好像它是用密封端口接口或使用像超级终端或下载teraterm终端程序http://ttssh2.sourceforge.jp/

更新的响应: 100Hz的不是硬界面来制作。如果可能的话,您应该使用串行接口。您参考的电路板有2个完整的RS-232连接。此时,您只需要一种将其连接到计算机的方法。如果你有一个RS-232连接一台PC,你只需要电缆,如果你有一个新的计算机,而不需要一个RS-232转USB电缆的翻译(像这样的:http://search.digikey.com/scripts/DkSearch/dksus.dll?Detail&name=768-1014-ND或谷歌RS232,USB)。这将为您提供PC上的虚拟COM端口,与前面提到的终端程序或您的定制软件进行交互。

更新2: 在您链接到的开发板页面的资源选项卡上有几个基于UART的fpga设计,您应该可以使用它作为起点。 即“PicoBlaze处理器SPI闪存编程”。

2

这主板不提供方便的从FPGA的USB接口,据我可以告诉。这只是配置和调试。

一些较新的主板和工具也让一些所谓的硬件在环测试,其中模拟可以上传数据到FPGA,等待它来计算出结果,然后将数据拉回来。当使用赛灵思的System Generator产品时,这是相当常见的,因为仿真可能非常长。

但我认为与船上你会更好用板RS232端口上获取数据,并从董事会。尽管如此,你将不得不构建自己的基础设施。

这也可能给你一些想法:

http://www.1pin-interface.com/