2012-05-20 24 views
0

我有两个模块的Verilog:传递一个矢量作为端口到模块

  1. 计数器:输出是被称为ERROR_COUNT矢量。
  2. lcd:在LCD上显示代码的模块。输入包括时钟和error_count。

下面的代码片段是最相关和连接如下:

  1. 顶级模块:

    counter counter1 (..., error_count); 
    lcd lcd1 (..., error_count);
  2. 计数器模块:

     
    module counter (..., error_count); 
    ... 
    output reg [31:0] error_count = 0; 
    ... //Update counter every clock cycle 
    endmodule 
    
  3. LCD模块:

     
    module lcd (..., error_count); 
    ... 
    input [31:0] error_count; 
    ... //error_count used to display on LCD 
    endmodule 
    

什么是错的代码?显示器仅输出0作为输出。我传递矢量的方式有什么问题吗?

附加信息: 我正在使用Xilinx Spartan 3E入门工具包来测试此代码。 LCD代码很好,我用本地计数器(这是reg [31:0])进行了测试。

回答

相关问题