2012-10-01 21 views
0

我使用ModelSim来设计和模拟CPU体系结构。对于像我这样知道这一点的人来说,您可能也意识到,在试图处理所有这些信号时,验证模拟数据是非常困难的。是否可以在ModelSim中的模拟上编写验证过程?

有没有办法自动运行模拟并执行一些验证过程,以查看我得到的数据是否正确?

例如,我有一个特定的组件,当一个信号刺激时,在下一个时钟,将返回否定信号。我想验证这一点。怎么样? (当然不需要手动检查屏幕上的信号)。

三江源

+1

也许写一个_testbench_。 – wap26

回答

6

是的,作为自检测试平台这是众所周知的。我们的想法是编写一个新的VHDL文件,在其中实例化要测试的组件,对组件应用激励并使用声明验证输出。做到这一点的基本途径与断言声明,就像这样:

assert my_signal = x"3456" report "my_signal has the wrong value!" severity ERROR; 

也可以尝试寻找到PSL,这是用于验证的VHDL代码的行为另一种语言。 Modelsim支持VHDL断言和PSL。

相关问题