2016-10-16 87 views
0

我想编译使用ghdl编译器的vhdl代码。不过我缺席两场UTIL库:ghdl missing util.misc_conv_pkg ubuntu 14.04

util.misc_conv_pkg
util.vstring

因此该代码是不工作

LIBRARY util; 
    USE util.misc_conv_pkg.ALL; 
    USE util.vstring.ALL; 

我已经尝试了所有可能的安装方法,我发现: http://ghdl.free.fr/site/pmwiki.php?n=Main.Installationhttps://sourceforge.net/p/ghdl-updates/wiki/Installing%20ghdl/

但它仍然没有工作。我该如何解决。

+0

您需要找到这些软件包的源代码并将它们编译到'util'库中。它们是您正在开发的项目的一部分,而不是VHDL的一部分。 –

+0

而不是ghdl发行版的一部分。 – user1155120

回答

0

您需要找到这些软件包的源代码并将它们编译到util库中。它们是您正在开发的项目的一部分,而不是VHDL的一部分。

如果找不到它们,只需注释USE子句即可。随后的两件事情会发生......

  1. 但实际上并没有在所有使用,在这种情况下,该项目将编译并没有他们的工作很好,你已经通过消除不必要的依赖清理了。这些已弃用的std_logic_arith软件包经常出现这种情况令人惊讶。
  2. 使用它们的一些函数或声明,在这种情况下,编译将会失败,并且相当准确地描述缺少的内容。 (如有必要,可在问题中添加前几项)。
用“util的”图书馆

通常情况下,该功能是相当明显的,所以您可以找到做这件事的详细标准或更便携的方式,或者你可以写自己的包,满足只是所需的位这个项目。

+0

@akaAlso所以你找到了消息来源,还是你需要解决他们的缺席? –

+0

我必须找到一种方法来解决它..有人使用它,它只是通过安装ghdl而为他工作。不过他正在使用Windows并有不同的安装。这意味着我也必须安装Windows – akaAlso

+0

安装Windows不会解决问题。他必须有某些地方的来源,这就是你需要的。 –