2016-07-27 29 views
-2

我有ModelSim,所以我不能在我的代码中使用随机数。如何在verilog中实现randomize()?

我必须在事务类(它扩展uvm_sequence_item)上实现randomize()。我如何在verilog中做到这一点?

+0

我不明白。如果你可以做UVM,为什么你不能随机化? –

+0

你能详细说明为什么你认为ModelSim不能做到这一点吗? – nguthrie

+1

http://stackoverflow.com/questions/15439710/modelsim-support-for-sv –

回答

0

你可以试试$ random。该功能基于verilog,可能在modelsim中起作用。

q = $random(r_seed); 
or 
q = $random(); //seed is optional 

另外获得之间的随机值10-110(范围)

q = {$random} % (110-10+1) + 10; 

,或者尝试使用

$urandom_range(110,10); 
$urandom(); 

这些都是基本的随机结构。你将不得不从这些中得出你的约束。