zynq

    0热度

    1回答

    我很新zedboard。 我有一个大项目,它有几个分层的makefile。我想将项目导入到xilinx SDK中,构建它并生成elf文件,并将其加载到Zedboard zynq 7000 xc7z020clg484。或者,将项目加载到ARM内存中,构建它并执行它。 我试过导入 - >现有的项目,但它似乎不起作用。我仍然无法构建它。 有什么办法可以做到这一点?

    2热度

    1回答

    我已经写了一些Linux设备驱动程序,但我仍处于新手入侵的层面。我可以让他们工作,但这就是我所能声称的。到目前为止,我已经能够使用write()将它们写入write数据模型,并使用read()读取数据。我偶尔使用ioctl进行更加精细的控制。 现在我想在FPGA逻辑中构建一个协处理模块,并在同一个FPGA中为ARM处理器编写一个设备驱动程序,以将工作从ARM卸载到FPGA。我正在努力研究如何最好地

    2热度

    1回答

    我试图使用tftp启动zxw。 我已经为ipaddr,serverip,netmask设置了相应的环境变量,并且文件成功加载到RAM中。但是,尝试启动vxWorks映像时出现以下错误。没有与VxWorks映像,我可以成功地与这些iamges开机的时候我从SD卡 zynq-uboot> bootm 0x5000000 - 0x4000000 #ERROR: booting os 'Unknown

    4热度

    2回答

    我正在学习vivadoHLS,并且教程u871介绍了如何使用HLS并优化我的C/C++代码。但我想知道如何将它们加载到我的主板zynq 7020中,让它在板上运行。 我想要实现的是:主机(CPU板上)调用PL(FPGA)进行计算,并将参数发送到PL,然后PL将结果发送回CPU。 例如,在C的函数:加载(INT *一个,INT * B),这将分别添加[i]和B [i]和返回的数组INT *结果。,通

    0热度

    1回答

    我在zedboard上设计了一个固件,我想用一些语言(比如说C)为我的固件编写程序并通过以太网传输这个文件,然后编译 - >执行它。 我将不胜感激,如果有人能帮助解决这个问题! 非常感谢您的帮助! 问候

    -1热度

    1回答

    我正在尝试为Zynq做一个简单的STANDALONE应用程序。我想用'time.h'来操作日期/时间。我知道stanalone BSP上没有硬件实现,但我想自己连接它。 在编译期间,当我调用'time(NULL)'时,出现错误,没有实现'_gettimeofday()'。我发现它并根据函数定义实现它,以便错误消失并且一切看起来都正常,但是当我在硬件上运行我的项目时,从time()中只能看到零。 任

    1热度

    1回答

    我目前正在使用Simulink嵌入式编码器方法开发一个在Xilinx Zedboard上实现的项目。 现在我必须建立一个连接到数据库的块(通过MySQL,使用C库)。问题是我不知道如何在S函数生成器中指定用于在#include <mysql.h>之后交叉编译此simulink块的路径。

    -2热度

    1回答

    我需要与Xilinx ZC706开发板兼容的android源代码。请给我一个从我可以下载的存储库的链接。任何版本都可以。 此外,任何建议将不胜感激。 Sazal

    0热度

    1回答

    ZYNQ 7000的引脚数的文件我是新来的FPGA,当我试图实现我的ZYNQ-7000 clg484解码器,有一个错误,说: Bitgen:342 - 这设计包含的位置(LOC)不是用户分配的位置(LOC),也不是用户分配的I/O标准(IOSTANDARD)。 这可能会导致I/O竞争或与电路板电源不兼容或影响性能,信号完整性或在极端情况下导致设备或与其连接的组件损坏。为了避免这个错误,我们强烈建议

    1热度

    1回答

    我对Zedboard很陌生。我正在用VHDL写一个计数器,并尝试在Zedboard Zynq 7000 XC7Z020-1 CSG484CES EPP上实现它。 当我分配引脚时,我想要一个时钟。但将“clk”分配给用户交换机似乎是错误的。于是我抬头看文件ZedBoard_HW_UG_v1_1.pdf。 在第一章2.5,它说以下内容: 的EPP的PS子系统使用专用的33.3333 MHz的时钟源,I