2016-01-23 49 views
2

每当我创建一个VHDL设计时,我都倾向于有很多模块。然后将这些模块中的每一个连接到一个主文件,因此一切都合成。但是我想为这些模块编写单独的测试台,并为全球过程编写一个测试台。如果我可以做一些将所有这些测试平台连接在一起并使它们连续运行,以便在一次运行中测试我的整个设计,那将是非常好的。我怎么能这样做?我喜欢使用GHDL并声明。是否有可能创建一个超级测试台?或者一个迭代它们的shell脚本会更好?为VHDL设计运行多个测试平台

+1

很好的策略。还有VHDL的单元测试工具......一个叫做VUnit的例子:https://github.com/LarsAsplund/vunit –

+0

@Paebbels,你是对的。固定。 – Cheiron

回答