2016-01-21 55 views
0

目前我正在尝试执行this项目,而我被困在移位寄存器中。 事情是,我非常确定他们希望我们用d触发器来实现这一点,但我只见过简单的if/then转换器。带d触发器的旋转移位寄存器verilog

到目前为止,我已经得到了每一个模拟照明的重置信号的持续时间,因为我用它来输入。但是,这个目标的全部目标是让每个指示灯仅点亮一个时钟,并无限旋转。

这里是我的问题:我如何从一开始就将输入作为一个开始,以及如何实现,因此复位信号不会影响后续LED亮起多久? (交替question-我要对这个只是让不正确的,我应该放弃,现在和采取不同的方式?)

器代码:

module shift_reg(
    input clk, 
    input rst, 
    output [7:0] led 
    ); 

//connector wire between flipflops 
wire [7:0] bitshift; 

// creating the shifter out of d flipflops 

//1st one 
d_ff d_ff0(
    .clk(clk), 
    .rst(rst), 
    .D(bitshift[0]), 
    .Q(bitshift[1]) 
); 

//middle ones 
genvar i; 
generate 
for (i=1; i<7; i=i+1) 
begin : d_ff_gen_label0 
    d_ff d_ff_inst1(
     .clk(clk), 
     .rst(rst), 
     .D(bitshift[i]), 
     .Q(bitshift[i+1]) 
    ); 
    end 
endgenerate; 

//last one 
d_ff d_ff1(
    .clk(clk), 
    .rst(rst), 
    .D(bitshift[7]), 
    .Q(bitshift[0]) 
); 

assign led = bitshift; 

endmodule 

D触发器代码:

module d_ff(
    input D, 
    input clk, 
    input rst, 
    output reg Q 
    ); 
always @(posedge 9clk0, posedge (rst)) 
begin 
    if (rst == 1) 
     Q <=1'b0; 
    else 
     Q <= D; 
end 
endmodule 
+2

我假设你的意思'(CLK) ',而不是'9clk0' ... – wilcroft

回答

0

一种解决方案是将其中一个FF复位为1而不是0

为了让您等长的周期,使用同步复位(而不是异步一个,像你这样的实现)

例如:

module d_ff_high(
    input D, 
    input clk, 
    input rst, 
    output reg Q 
    ); 
always @(posedge clk) 
begin 
    if (rst == 1) //only reset on a clock edge! 
     Q <=1'b1; 
    else 
     Q <= D; 
end 
endmodule 

... 
//1st one 
d_ff_high d_ff0(
...