shift-register

    0热度

    1回答

    我真的想在我的NodeMCU上使用SPI模块。 SPI保持我的代码清洁并释放一些GPIO引脚。我感觉它发送的数据太快,我的74HC595跟不上。它工作了一下,然后停了下来。 看起来线路上有很多噪音,所以我连接了逻辑分析仪,发现当我发送数据时,位在几乎6纳秒的时间内跨越线路飞行(这非常棒)。我驾驶的是595,最终是步行者,需要速度较慢的数据。我曾尝试在设置调用中使用时钟参数,我感觉它永远不会减慢SP

    -3热度

    1回答

    Block diagram 设计是一个串行加法器。当上输入设置为1时,它需要8位输入A和B并以串行方式将它们相加。操作结果存储在9位总和寄存器中,并附有框图。我正在使用Quartus II 13.0sp1(64位)网络版。 错误: 错误(10170):在LAB9b.v(56)附近的文本“â”处出现Verilog HDL语法错误;期待“:”或“,” 我还没有在代码中的任何地方写过这个文本,但它仍然在

    1热度

    1回答

    我可以使用移位寄存器将案例中的数据传递给案例(案例结构)吗? 我把移位寄存器添加到我的while循环中,在我的while循环中我有一个case结构,我想将数据存储在第一个case的移位寄存器中,并将它转移到第二个case,我该怎么做?

    1热度

    2回答

    我正在一个项目中,我需要9个7段显示器。我为此使用了9个移位寄存器。 我用Arduino Nano测试了移位寄存器,并且一切正常。然后,我复制/编辑了代码,用于NodeMCU(ESP8266 wifi板),出于某种原因,写入移位寄存器的功能似乎被破坏。 这是现在的代码: void writeBytes(uint8_t bytesToWrite[]){ Serial.println("te

    1热度

    1回答

    我有一个vhdl代码写入一个移位器,使用d-flop触发器和多路复用器进行运行并检查语法成功。但是,现在我正在测试平台上工作,我遇到了一些错误。 VHDL代码是: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY MUX41 IS PORT (i3, i2, i1, i0 : IN BIT; s: IN BIT_VECTOR(1 DOWNT

    0热度

    1回答

    我看到了同样的问题here,我试图按照这个例子,但我在声明我的信号时遇到了错误。在具体的: #Error: COMP96_0015: Pipeline.vhd : (52, 44): ';' expected. 这里是我的代码: library IEEE; use IEEE.STD_LOGIC_1164.all; entity Pipeline isgeneric ( VECTO

    0热度

    1回答

    目前我正在尝试执行this项目,而我被困在移位寄存器中。 事情是,我非常确定他们希望我们用d触发器来实现这一点,但我只见过简单的if/then转换器。 到目前为止,我已经得到了每一个模拟照明的重置信号的持续时间,因为我用它来输入。但是,这个目标的全部目标是让每个指示灯仅点亮一个时钟,并无限旋转。 这里是我的问题:我如何从一开始就将输入作为一个开始,以及如何实现,因此复位信号不会影响后续LED亮起多

    0热度

    1回答

    我在VHDL中做了一个移位寄存器的结构设计。当WriteShift是1时,我得到了移位,当它为0时,移位寄存器加载一个价格。尽管当我在testbench中将writeshift设置为1时,加载完美工作,但我在模拟中获得了00000。 我的代码如下: entity ShiftRegis is Port (Din : in STD_LOGIC_VECTOR (4 downto 0);

    3热度

    1回答

    我试图制作一台使用z80的复古电脑,理想情况下想让它能够从SD卡启动。我100%使用z80,不想使用带有内部SPI硬件接口的微控制器。我想了解计算机的硬件和软件方面,因此,在购买之前,硬件方面已经完成,因此,Arduino和微控制器不在场了。无论如何,我的主要问题是可以将移位寄存器,某些解码逻辑和软件结​​合起来,以通过SPI模式从SD卡读取数据吗?我已经搜索了几个小时的互联网,阅读了几篇文章,似

    3热度

    2回答

    请谁能告诉我如何解决这个代码? 什么是Illegal_sequential_Statement错误(在modelSim中)? 为什么说近乎当nead';' (四分之一)? LIBRARY ieee ; USE ieee.std_logic_1164.all; ENTITY Shift_reg IS PORT(Par_LD: IN std_logic_vector(7 DOWNTO 0);