2015-08-28 55 views

回答

0

提示:不是一个完整的答案

一个8位的触发器的Verilog可能看起来像:

reg [7:0] a; 

always @(posedge clk, negedge rst_n) begin 
    if (~rst_n) begin 
    // Active Low Reset condition 
    a <= 'b0; 
    end 
    else begin 
    a <= input_eight_bit; 
    end 
end 

要为多个时钟周期耽误你需要多个触发器从一个喂到另一个。这会创建管道线或延迟线。

+0

谢谢你的提示。我一直在使用移位寄存器, – Arthi

+0

尝试,但我没有得到期望的输出.. u能请电话我笏是与代码的问题? shiftreg <= {shiftreg [delay-2:0],adc_data_ch1}; adc_data_chd <= shiftreg [delay-1]; – Arthi

+0

你想要延迟的数据有多宽? 1位或多位?你可以添加'shiftreg'的定义吗? – Morgan