2014-07-04 81 views

回答

0

使用Variable当您要更改(修改)您声明的数量时,Constant当您不需要时。或者如果你想改变它,并且它在不同的进程,端口或组件之间共享。

但请记住,Signaldifferent update semantics,避免在任何语言的进程之间共享变量时可能发生的危险和错误。

0

Brian是正确的。仅对变化的数据使用变量。常量(正如其名称所示)适用于不会更改的数据。一般来说,我不建议初学者使用VHDL使用变量,因为它们可以以不同于预期的方式进行合成。我会建议坚持信号来保存你的动态数据。

变量在分配时立即更新。信号采取一个时钟周期,如果他们是在一个连续过程或立即更新,如果他们在一个组合件的

了解更多关于variables vs. signals in VHDL

代码