上午有从一个block.But在稍后阶段我必须使用只低8位std_logic_vector.it创建合成问题16位std_logic_vector的输出......请8位STD_LOGIC矢量告诉我如何避免这个..16位std_logic_vector以降低VHDL
-2
A
回答
4
如果你有一个16位std_logic_vector
你可以访问这样的单个字节:
signal largeVariable : std_logic_vector(15 downto 0);
signal lower8bitsVariable : std_logic_vector(7 downto 0);
signal upper8bitsVariable : std_logic_vector(7 downto 0);
(...)
lower8bitsVariable <= largeVariable(7 downto 0); --Store the lower 8 bits of largeVariable
upper8bitsVariable <= largeVariable(15 downto 8); --Store the upper 8 bits of largeVariable
相关问题
- 1. VHDL - 将std_logic_vector移位8位
- 2. VHDL减法std_logic_vector
- 3. 16位2在VHDL
- 4. VHDL - 问题与std_logic_vector
- 5. 问题在VHDL std_logic_vector的位置值
- 6. VHDL多std_logic_vector到一个大的std_logic_vector
- 7. 以不同方式连接STD_LOGIC_VECTOR(桶式移位器) - VHDL
- 8. 如何索引到vhdl std_logic_vector?
- 9. VHDL STD_LOGIC_VECTOR通配符值
- 10. VHDL - 动态std_logic_vector大小
- 11. VHDL std_logic_vector索引与“downto”
- 12. 清除低位16位
- 13. VHDL如何将32位变量转换为4 x 8位std_logic_vector?
- 14. 如何通过2 32位带符号std_logic_vector在VHDL
- 15. std_logic_vector上的VHDL事件关键字
- 16. VHDL - std_logic_vectors的数组转换成std_logic_vector
- 17. 移植寄存器为std_logic_vector在VHDL
- 18. VHDL - 如何检测std_logic_vector上的更改?
- 19. 如何在VHDL中“切片”std_logic_vector?
- 20. VHDL类型转换签订std_logic_vector
- 21. VHDL - 如何将1添加到STD_LOGIC_VECTOR?
- 22. std_logic_vector与赛灵思VHDL整数合成
- 23. 如何移位std_logic_vector?
- 24. vhdl 16位总线上的32位计数器
- 25. 如何将VHDL中的8位转换为16位?
- 26. 降低
- 27. 降低位图质量产生OutOfMemoryException
- 28. 降低输入占位符CSS
- 29. 降低视差元素的位置
- 30. 静态定位显着降低性能(?)
你能分享一些代码?它可以帮助理解你的问题。但总的来说,这不应该造成综合问题。语法问题更可能。 – vermaete
其实..我们正在使用巴士的一半... ...巴里克引脚没有连接..这就是真正的硬件问题..在结构模型化之后..作为下一个块的一个块(15 downto 0) 7 downto 0)在portmap ..所以怎么做15 downto 8 – NjN
你得到什么综合问题?请发布一些代码和错误消息? –