riscv

    0热度

    1回答

    我正在研究riscv-sodor,我想修改生成文件以生成Verilog。我该怎么做这个任务? 问候,

    0热度

    1回答

    我对RISCV(实际上是在考虑Open RISC)知之甚少,而且我读过的东西告诉我它是关于创建和优化事物的。现在人们(例如FSF)已经一次又一次地怀疑安全部队可能会给予弱随机数生成器,并且任何生成的密码都可能被它们轻易破解。 虽然我不知道是否相信,但从我读的很少,似乎RISC可能是一个平台,可能会使随机数生成器(创建和保密两者)有很多改进。这是真的还是只是幻想的想法?

    0热度

    1回答

    我正在为llvm生成具有定制RISCV后端的代码。当我没有优化编译(-O0)时,程序是可用的。当我使用优化编译(-O2)时,没有任何临时寄存器(保存的调用者)在调用后重新加载。经过优化的代码看起来似乎具有良好的寄存器分配,但它可以执行诸如使用类初始化程序的地址加载临时寄存器,然后多次调用初始化程序而不重新加载寄存器的操作。 load t1 %(foo) jal t1 ... jal t1

    2热度

    1回答

    我正在尝试使用pocl来交叉编译RISCV64的OpenCL程序。幻灯片18在这个链接:https://riscv.org/wp-content/uploads/2015/01/riscv-software-toolchain-workshop-jan2015.pdf似乎表明可以为RISCV后端生成标量代码。我使用pocl-0.8(基于llvm 3.3,因为这是riscv-llvm后端的稳定版本)

    0热度

    1回答

    在阅读RISC-V 2.1版规范时,我在第4章中发现应该将RV64与RV32一起阅读。基于此,以下是我的疑惑。 RISC-V 64位会运行32位操作码吗? 在规范中,第9章第54页的指令与操作码一起列出,这些操作码是否也属于64位。 在规范中,第9章第55页的说明与操作码列在一起,RV64是除了RV32之外的,所以在RV64位实现中我也需要支持RV32I吗?

    1热度

    1回答

    当我使用qemu-system-riscv时,我发现-net选项不起作用。这是否意味着qemu-system-riscv不支持添加网络设备?

    2热度

    2回答

    我正在学习凿子下面的文档on Github 到目前为止,一切工作完美无瑕。但我卡在第13章,"Functional Module Creation" 我无法让代码工作。我创建了凿模板项目的副本我所有的.scala类。下面是我写/复制与可变位宽创建MUX4: /凿模板/ src目录/主/斯卡拉/ Mux4.scala import Chisel._ class Mux4(w: Int) exte

    0热度

    1回答

    我在crt.S文件中有一些RISC V程序集。 如果我使用的名称的C函数_init的是这样的: j _init (即执行一个无条件跳转到_init),代码编译罚款和运行。 但是,如果使用这样的: li a3, _init (即,负载通用寄存器A3具有整数值_init)的代码不能编译。如果我替换一个文字整数值(例如,0x80001958,其他所有内容相同,则当前值为_init)代码将进行编译

    1热度

    1回答

    以下RISC-V汇编代码(RV32)用于显示问题。 start: jal end end: jal start 我调用使用以下简单的命令汇编... riscv32-unknown-elf-as -m32 example.s -o example.o 要检查生成的代码我拆开它... riscv32-unknown-eft-objdump -D example.o 这给下面的输出。 .

    1热度

    2回答

    我正在研究Z-Scale RISCV处理器,其中我已将新功能和逻辑实现到数据路径中。我想知道是否有一种简单的方法可以在不使用一堆if循环的情况下“关闭”代码的某些部分?我想让在Z-scale处理器的常规实现和扩展实现的处理器之间切换变得容易。 我已经实现的新逻辑并不取代数据路径的主要组件,而是扩展了功能。