2017-06-06 21 views
0

我想做一个断言,它只检查时钟正边沿的信号转换。如果信号改变而不是正边沿,它应该会产生一个错误。断言来检查时钟边界的信号转换

+0

请编辑您的问题以显示[迄今为止的代码](http://whathaveyoutried.com)。你至少应该包括一个你遇到问题的代码大纲(但最好是[mcve]),然后我们可以尝试帮助解决具体问题。你还应该阅读[问]。 –

回答

0

尝试这一个:

A1:断言属性(@(时钟)的时钟== 1'b1的| =>信号== $过去(信号));