我是VHDL的初学者,我有一个基本问题。VHDL /如何初始化我的信号?
让我们考虑这个下面输入:
A : in std_logic_vector(22 downto 0);
而这个信号:
signal dummyA : std_logic_vector(47 downto 0);
我想用一个如此我做了什么来初始化dummyA是:
dummyA <= A;
这是正确吗?我的意思是相当于:
dummyA <= "0000000000000000000000000" & A;
?或者我应该像这样明确地添加0。
是'dummyA <=(A'RANGE => A,OTHERS =>'0');'相当于'dummyA <=“0000000000000000000000000”& A;'? – user2336315
@ user2336315:它应该是,但是你不必跟踪多少个额外的零。 –
如果A的范围优于dummyA的范围,它是否会截断A的数据? – user2336315