在关于可配置嵌入式系统(在ZYNQ-7010上)的大学课程中,我们最近实现了一个(初始)低通图像滤波器,该滤波器将应用一维高斯核(0.25 * [1 2 1])到来自Block RAM的数据。VHDL - 队列中的变量与信号行为
我们决定缓存(即队列)三个像素,然后在数据输出过程中在线操作它们。我们的第一种方法是具有三个过程变量,并使它们在时间方面翻转过来;其中,以下是全部过程:
process (clk25)
-- queue
variable pixelMinus2 : std_logic_vector(11 downto 0) := (others => '0');
variable pixelMinus1 : std_logic_vector(11 downto 0) := (others => '0');
variable pixelCurrent : std_logic_vector(11 downto 0) := (others => '0');
-- temporaries
variable r : unsigned(3 downto 0);
variable g : unsigned(3 downto 0);
variable b : unsigned(3 downto 0);
begin
if clk25'event and clk25 = '1' then
pixelMinus2 := pixelMinus1;
pixelMinus1 := pixelCurrent;
pixelCurrent := RAM(to_integer(UNSIGNED(addrb)));
IF slv_reg0(3) = '0' THEN
-- bypass filter for debugging
dob <= pixelCurrent;
ELSE
-- colors are 4 bit each in a 12 bit vector
-- division by 4 is done by right shifting by 2
r := (
("00" & unsigned(pixelMinus2(11 downto 10)))
+ ("00" & unsigned(pixelMinus1(11 downto 10)))
+ ("00" & unsigned(pixelMinus1(11 downto 10)))
+ ("00" & unsigned(pixelCurrent(11 downto 10)))
);
g := (
("00" & unsigned(pixelMinus2(7 downto 6)))
+ ("00" & unsigned(pixelMinus1(7 downto 6)))
+ ("00" & unsigned(pixelMinus1(7 downto 6)))
+ ("00" & unsigned(pixelCurrent(7 downto 6)))
);
b := (
("00" & unsigned(pixelMinus2(3 downto 2)))
+ ("00" & unsigned(pixelMinus1(3 downto 2)))
+ ("00" & unsigned(pixelMinus1(3 downto 2)))
+ ("00" & unsigned(pixelCurrent(3 downto 2)))
);
dob <= std_logic_vector(r) & std_logic_vector(g) & std_logic_vector(b);
END IF;
end if;
end process;
然而,事实证明这是一个可怕的错误;合成会花费很长时间,估计LUT的使用量约为设备能力的130%。
我们后来改的实施,使用信号,而不是变量这解决了所有的问题;硬件按预期运行,LUT使用率下降到百分之几。
我的问题是什么导致这里的问题,当使用变量,从我们的理解,它应该像那样工作。