altera

    12热度

    6回答

    任何人都知道编程VHDL的良好环境,并使用Linux进行模拟(无需Xilinx或Altera)?

    1热度

    3回答

    IEEE vhdl语言参考手册只定义了一套有限的标准包,并没有定义标准类型的功能,如STD_LOGIC。因此没有标准的AND2,INV组件/运算符。 Altera MAX + Plus II似乎不支持AND2,INV组件(如果有的话,请随时纠正我),但赛灵思基金会确实如此。 为什么IEEE vhdl标准库在C++世界中不能成为类似STL的东西? 谢谢。

    -1热度

    1回答

    我在AHDL中实现了一个控制单元(UC),我应该模拟它,看看它是否按照对应的ASM图中的定义工作。 我用MAX + plus II来模拟它,并且它没有像我期望的那样工作,但我不能真正地说出了什么问题,因为我不熟悉AHDL,更不用说TABLE部分。 这是我的控制单位: SUBDESIGN EXP1_UC ( CLKUC: INPUT; RES: INPUT; N1,N2,M1,M2: IN

    3热度

    1回答

    我正试图在Verilog/SystemVerilog中编写一个可合成的3D光栅化器。现在光栅化器不是真正的3D光栅化器:它只接收顶点位置(vertA_pos_x,vertA_pos_y,vertB_pos_x,vertB_pos_y,vertC_pos_x,vertC_pos_y)的六个32位浮点数和用于顶点着色的九个8位整数(vertA_color_r,vertA_color_g,vertA_c

    3热度

    1回答

    好日子, 我对其中包含M9K块存储器中,这是方便初始化为零,在上电时的内容的Stratix III FPGA工作。这非常适合我的应用程序。 有没有一种方法可以将内容重置为零,而无需对FPGA进行重新启动/重新刷新/等等?在megawizard插件管理器中似乎没有这样的选项,并且我想避免浪费一堆逻辑,然后按顺序将零写入每个地址... 我看了看周围,没有参考到这样的机制,但我想我会问,以防万一有人知道

    1热度

    2回答

    我无法打开项目我在Windows 7中关闭Quatrus并且此消息总是出现 “ 无法打开项目 - 您没有权限写的所有文件或项目数据库目录中创建新的文件。 “ 我应该怎么办

    0热度

    4回答

    我正在做一个软核处理器的编程,来自Altera的Nios II,下面是一个教程中的代码,我设法得到代码通过在硬件(DE2板卡)上测试来工作,但是我无法理解代码。 #define Switches (volatile char *) 0x0003000 #define LEDs (char *) 0x0003010 void main() { while (1) *LEDs = *Switc