altera

    0热度

    2回答

    如何设计我自己的MAC层功能来访问以太网芯片,而不是使用altera IP功能。我的电路板是DE1-SoC,采用旋风V 5CSEMA5F31C6芯片。访问以太网芯片的引脚是HPS引脚,我不能指定我自己的信号。

    0热度

    2回答

    我最近买了一块新的Altera Arria V板1。我打算使用它来使用OpenCL设计某个应用程序。 不幸的是,我到目前为止没有找到所需的软件来使它工作。我的意思是Altera RTE for OpenCL和必需的驱动程序(aclsoc_drv.ko)。 我将不胜感激,如果你能帮助我如何找到最新的软件! 谢谢大家

    -3热度

    1回答

    所以这应该从0到9999在fpga(旋风3),以及它没有这样做T_T, ,我可以找到它有什么问题, 我的意思是当我在活动VHDL相似,Y永远不会从0 0 0 0,我在做模拟错误? (我不能把它放在fpga中,直到星期一:/) 编译显示没有错误。 IM愿意花钱找人完成这个,PLZ,这就决定了,如果我把类:( 文件 vhdl files

    10热度

    1回答

    在Fedora 22 64位版本中安装了Quartus 13.0与Modelsim。以32位运行Quartus是因为我遇到了很多很多问题。但是,我可以启动Quartus,创建一个项目,将其合成,启动仿真窗口并配置输入信号。然后,当点击启动Modelsim的按钮时,它开始执行它的工作,但结束于 未找到ModelSim-Altera。请安装Quartus II安装程序随附的ModelSim-Alter

    0热度

    1回答

    您好!我在Linux上非常新,并且努力以“root用户”身份登录。下面的图片显示了我的尝试。我现在在用什么样的用户?如何以root用户身份登录? 这是我想现在运行项目:

    1热度

    2回答

    我正在使用Quartus从Altera获得DE12-115微处理器。为了使用内置的VGA连接将BMP图像显示到监视器上,我必须首先将BMP图像转换为MIF格式。 MIF格式不过是一个查找表,它使用RGB颜色代码指定每个像素的地址和每种颜色的别名。 A样品MIF文件将具有以下形状 DEPTH = 32; -- The size of data in bits WIDTH = 8;

    2热度

    2回答

    我和我的团队正在尝试为DE2-115董事会创建一个合成器,用于我们的本科顶尖项目。 我们唯一不知道的是如何将频率映射到通过板上音频端口正确输出的“键”。我们搜索了网页,所有提供的文档都包含了编解码器的数据表,但我们无法弄清楚如何使它在VHDL中正常工作。 有没有人曾经通过WM8731输出音频,如果是的话,他们会愿意帮助我们吗?

    0热度

    1回答

    我有Xilinx背景,现在我碰巧在Altera器件上写了一些代码。我有一个关于生成综合后模型的问题(也适用于post-fit)。在Xilinx上,我有netget,它能够生成我的设计的verilog或vhdl后综合模型,我可以在iverilog编译器中自由使用该模型。我quartus ii我发现quartus_eda工具,但我不能执行我想要的,我可以生成*.vo文件看起来不错,但我无法找到库,以涵

    0热度

    1回答

    为了实现我的VHDL代码什么我目前做: tmpOutput <= "UUUUUUUU"; 的是,被视为完全出问题了吗?另外,这可能会在综合设计时带来问题吗? 非常感谢!

    1热度

    1回答

    我想知道是否有人知道像Qsys或IP配置器这样的独立于供应商的良好AXI4(Lite/Stream)互连构造器。 我宁愿建立一个尽可能独立于供应商的FPGA系统平台。