altera

    0热度

    1回答

    我有一个编译(二进制)比特流文件,我只是想在软件中模拟。 Linux,Mac,Windows ..什么都行。我知道这可能需要很长时间,但我不在乎需要多长时间。无论如何,我不想将代码加载到真正的FPGA并运行它。 是否有可用于实现该目标的软件?

    0热度

    2回答

    我最近开始使用FPGA并一直试图启动并运行一个基本的VHDL程序。我的代码旨在从10个开关获取输入,并将它们映射到开发板上的10个LED输出,但是当我尝试运行分析/综合时,会在标题中看到错误。通过运行“分析当前文件”单独分析文件不会产生错误。一个类似的帖子是here,但解决方案并没有帮助我。我的项目中只有一个文件,我确定它已被指定为顶层实体。 library IEEE; use IEEE.STD_

    0热度

    1回答

    我正在使用Eclipse DS-5在Windows 7上交叉编译Altera SoC(FPGA + ARM)的项目。该工具链是由Altera公司提供的工具,它看起来如下: GCC C++ Compiler 4 [arm-linux-gnueabihf] GCC C Compiler 4 [arm-linux-gnueabihf] GCC Assembler 4 [arm-linux-gnuea

    0热度

    1回答

    我必须为CAN总线网络中的工业控制系统设计IO模块。 的IO-销(10-40引脚)必须是所有的多目的:数字和模拟的输入和输出。此外,该销具有以用作在需要时通信端口:的Modbus RTU,MODBUS TCP,DALI,等(模拟输入最大7个通道) 我明白,所有的这种选择需要不同HW;像电隔离或不同的电压水平等 成本必须尽可能地低。 我想作出额外的硬件该位作为插件模块或可选的附加夹层PCB的。 我的

    0热度

    1回答

    首先,我很抱歉打扰你们与我的noob问题,但我无法找到任何意义与我的(ModelSim模拟)电路发生了什么。 这里是我的代码,简单的可以是: LIBRARY ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; ENTITY Counter IS PORT( enable : in std

    -1热度

    1回答

    我在FPGA中有一个简单的功能(如加法器),但是我想要这个加法器从(Quartus II) 获得来自PC的输入,例如我想添加两个12位数但我没有足够的开关。 如何从pc获得输入并将它们发送给FPGA以及如何让它们进入FPGA? (我只有USB Blaster电缆)

    -2热度

    1回答

    从http://www.johnloomis.org/digitallab/lcdlab/lcdlab3/lcdlab3.qdoc.html 如何更改DATA_BUS以便它不使用inout。 // BIDIRECTIONAL TRI STATE LCD DATA BUS assign DATA_BUS = (LCD_RW_INT? 8'bZZZZZZZZ: DATA_BUS_VALUE)

    0热度

    1回答

    我使用的是初学者Yocto Linux和遇到问题,在构建图像文件夹清理根文件系统的文件系统 bitbake -c clean altera-image-minimal altera-gsrd-image 我做了成功的 bitbake -c clean virtual/kernel virtual/bootloader 去除内核和uboot的产生来自文件“ 〜/ Build1/tmp/dep

    0热度

    1回答

    我目前正在破解计算机的结构类,我们的老师声称不可能使用USB接口来读取键盘输入,我在网上搜索并没有发现任何信息相关的,有大量的使用PS/2接口的教程,但没有关于USB接口的信息,甚至为什么不可能,也许我没有找到正确的地方,或提出正确的问题,我有权访问图书馆在我的大学,你有什么建议,我可以在哪里找到一些信息?教程,书籍,文章等 谢谢你们!

    1热度

    1回答

    我正在尝试使用Model Sim将文件添加到新项目verilog中。当我创建新项目时,我无法看到选项,将文件添加到项目中。 在项目界面中,当我选择添加新文件到项目中时,看不到任何弹出。 操作系统:Windows 10的64位 软件:的ModelSim-Altera的10.1d(的Quartus || 13.0) 我已经改变了我的Windows兼容到Windows 7,因为该软件仅支持窗口7.1和W