fsm

    0热度

    1回答

    的要求是: 基本的自动售货机功能:状态,事件,规则 灵活性 陈述句,无需重新编译改变 [(与外部的语言工具进行分析,分类,搜索集成)可选的]随机化能力(例如用于状态之间的随机转换) 例如类似于Akka FSM,但具有声明性功能。灵活的基于规则和文本匹配(基于NLP)也有帮助。

    0热度

    1回答

    更新:我正在编辑此问题以将方程更改为更简单的方程,但问题大致相同,区别在于这次我真的可以让它给出计算的正确答案,但我一直存在“锁存器”的问题(我原来的方程式也工作正常,但同样的警告锁存问题)。 我想要做的由步骤的简单方程步骤,其如下所示: c = 50/(|a - 2|^2 + |b - 5|^2) 数据是无符号的二进制32个比特的值,减法结果是也无符号(绝对值),我想要做什么在

    0热度

    1回答

    中使用按钮我正在模拟自动售水机。现在,我们有一个七段解码器下的4个按钮。从左到右,前3个按钮将用于增加总分。第一个将增加总额25美分,第二个按键将增加10美分,第三个按键将增加总额5美分。最后,第四个按钮将用于重置计数。 问题是:当我按下5,10或25美分按钮时,总计立即达到50美分,并分配水。 这里是我的代码: module DispenserFSM(clk, rst, five, ten, t

    0热度

    1回答

    我使用VHDL创建了我的FSM,现在我想使用端口映射去抖动代码。 虽然我与协会有困难。事实上,我想在驱动FSM的信号中插入debouncebutton组件。 entity myFSM is Port (CLK : in STD_LOGIC; RST : in STD_LOGIC; IN0 : in STD_LOGIC; IN1 : in STD_

    2热度

    1回答

    创建状态之间的时间间隔,我想创造一个国家在一个FSM执行之间的定时器间隔。 我目前所面对的是什么是非常基本的我还是很新的节目。如果你能够将任何可能的解决方案保持在基本水平,那将是非常棒的。 public override void Execute() { //Logic for Idle state if (dirRight) oFSM.transform.Tra

    0热度

    1回答

    是否可以在延迟后分配状态更改? 我做的一个交通灯控制器,我想后4秒,从黄色状态转变 always @(next_state, EW, Count) begin case (next_state) s0: if (EW&Count) next_state = s1; else next_state = s0; s1: #4 next_state = s2;

    1热度

    1回答

    在工作中,我们使用FSM。最近,我必须设计FSM来解决我认为“对于简单的FSM来说太复杂”的问题。为什么?由于该问题具有大约6个不同的数据维度,并且该数据的许多排列显着影响解决方案的行为。如果全部是布尔数据,我的大脑会认为“6个数据属性意味着这个数据的2^6 +1排列”。此外,在任何给定的时间内,大约有8个输入可能发生。 这个问题让我意识到,我的FSM创造技能停止在我的爱好项目中使用的简单问题。在

    -1热度

    1回答

    我需要我的FSM读取二进制文件,与状态和​​转换进行比较。然后,说出是否被接受或拒绝。接受状态是一个简单的010.现在,无论我做什么,循环都会从头开始。我尝试了几种缩进的变体。 import sys import os try: Tfile = open("transistions2.txt","r") except IOError: print "Could not

    0热度

    1回答

    什么软件是用来绘制米罗萨梅克的状态图“实用UML状态图中的C/C++”? 编辑: Miro Samek在他的网站上提供excerpts。链接PDF的第42页提供了一个示例图。

    2热度

    2回答

    from datetime import datetime from django.db import models from django_fsm import FSMField, transition class Network(models.Model): name = models.CharField(max_length=100, unique=True)