我是VHDL的新手。我正在尝试使用代码来查找位矢量是否甚至不是(使用位矢量的汉明权重)。我写的代码是: entity hw_mod is
generic(
bits:integer );
port (
inp : in std_logic_vector((bits-1) downto 0;
cout : out std_logic);
end entity hw_mod
arc
这是我第一次使用verilog hdl进行编程,并且无法弄清楚我的代码出了什么问题。我需要在行为代码中设计一个简单的ALU。 到目前为止,我已经创建了一个减法器和加法器模块(我需要添加更多的模块,但是我希望在添加其他模块之前让这些模块在ALU模块中工作)。 我有单独的.V文件以下模块在同一个项目(很肯定这是一种行为?): module adder3bit(sum, co, a, b);
para
--in the package
type t_array is array (natural range <>) of std_logic_vector (7 downto 0);
type p_array is access t_array;
--in my testbench
variable my_array : p_array := null;
begin
my_array